apb_uart

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:233KB
下载次数:57
上传日期:2014-07-18 16:50:35
上 传 者lovetoesm
说明:  APB to UART , Its A bridge which converts apb transaction to UART

文件列表:
apb_uart\tb\uart_tb.sv (3690, 2014-07-02)
apb_uart\dut\raminfr.v (5736, 2014-05-01)
apb_uart\dut\timescale.v (22, 2014-05-03)
apb_uart\dut\uart_apb_top.v (3342, 2014-05-04)
apb_uart\dut\uart_debug_if.v (5942, 2014-05-16)
apb_uart\dut\uart_debug_if.v.bak (5944, 2012-04-11)
apb_uart\dut\uart_defines.v (10750, 2012-04-11)
apb_uart\dut\uart_receiver.v (17635, 2012-04-11)
apb_uart\dut\uart_regs.v (29106, 2014-05-16)
apb_uart\dut\uart_regs.v.bak (29142, 2014-05-16)
apb_uart\dut\uart_rfifo.v (11181, 2012-04-11)
apb_uart\dut\uart_sync_flops.v (5666, 2012-04-11)
apb_uart\dut\uart_tfifo.v (8939, 2012-04-11)
apb_uart\dut\uart_top.v (11621, 2012-04-11)
apb_uart\dut\uart_transmitter.v (12443, 2012-04-11)
apb_uart\dut\uart_wb.v (11299, 2012-04-11)
apb_uart\ipxact\simple_test.sv (1259, 2012-04-11)
apb_uart\ipxact\uart_ipxact.xml (17176, 2014-05-15)
apb_uart\ipxact\uart_reg.sv (18278, 2014-05-17)
apb_uart\ipxact\uart_reg_adapter.sv (1482, 2014-05-15)
apb_uart\uart_spec.pdf (163447, 2003-12-04)
apb_uart\uvm_reg_example\apb_uvc\apb_checker.sv (9517, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_collector.sv (5822, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_config.sv (5769, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_env.sv (5597, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_if.sv (3911, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_master_agent.sv (3479, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_master_driver.sv (5859, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_master_if.sv (3384, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_master_sequencer.sv (2099, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_master_seq_lib.sv (7535, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_monitor.sv (6305, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_pkg.sv (1675, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_slave_agent.sv (4088, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_slave_driver.sv (3552, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_slave_if.sv (2782, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_slave_sequencer.sv (2150, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_slave_seq_lib.sv (3989, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_transfer.sv (2091, 2012-04-11)
apb_uart\uvm_reg_example\apb_uvc\apb_types.sv (1199, 2012-04-11)
... ...

近期下载者

相关文件


收藏者