hmac-zy

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:546KB
下载次数:80
上传日期:2007-03-26 20:50:49
上 传 者skywithstars
说明:  hmac的verilog代码, 通过控制字选择进行sha1运算或hmac运算
(hmac the verilog code word through the control options or hmac sha1 Operational Operational)

文件列表:
hmac-zy\hdl.var (0, 2006-04-14)
hmac-zy\rtl\bit32_4_2comp.v (3694, 2006-07-05)
hmac-zy\rtl\datapadunit.v.bak (16469, 2006-12-29)
hmac-zy\rtl\bit4_2comp.v (639, 2006-07-05)
hmac-zy\rtl\datapadunit.v (16580, 2006-12-30)
hmac-zy\rtl\claa_32b.v (2844, 2006-07-05)
hmac-zy\rtl\hmac_top.v (1484, 2006-12-30)
hmac-zy\rtl\hashoutput.v (2034, 2007-01-24)
hmac-zy\rtl\shacoreunit_cmp_ap.v.bak (6541, 2006-12-29)
hmac-zy\rtl\resetgenunit.v (465, 2006-07-05)
hmac-zy\rtl\shacoreunit_cmp_ap.v (6541, 2006-12-29)
hmac-zy\rtl\sha1_top.v.bak (1484, 2006-12-29)
hmac-zy\rtl\hashoutput.v.bak (2031, 2007-01-24)
hmac-zy\rtl\wprounit_ap.v (3535, 2006-07-05)
hmac-zy\rtl\modectrlunit.v (882, 2006-12-27)
hmac-zy\rtl (0, 2006-09-26)
hmac-zy\testbench\sha1_ccore_db.v (9625, 2006-07-05)
hmac-zy\testbench\sha1_db.v (9991, 2006-07-05)
hmac-zy\testbench\sha1_testc_db.v (964, 2006-07-05)
hmac-zy\testbench\datapad_tb.v.bak (2501, 2006-12-26)
hmac-zy\testbench\datapad_tb.v (2501, 2006-12-26)
hmac-zy\testbench\sha1_ccore_db1.bak (9625, 2006-12-27)
hmac-zy\testbench\hmac_ccore_hmacdb.bak (2554, 2006-12-28)
hmac-zy\testbench\hmac_ccore_hmacdb2.v.bak (2372, 2006-12-28)
hmac-zy\testbench\hmac_ccore_sha1db.v.bak (2554, 2006-12-30)
hmac-zy\testbench\hmac_ccore_hmacdb2.v (2372, 2006-12-30)
hmac-zy\testbench\sha1_ccore_db1.v.bak (1281, 2006-12-30)
hmac-zy\testbench\sha1_ccore_db1.v (1755, 2006-12-30)
hmac-zy\testbench\hmac_ccore_sha1db.v (1186, 2007-01-24)
hmac-zy\testbench\test_hmac.v (1281, 2007-01-24)
hmac-zy\testbench\hmac_ccore_hmacdb.v.bak (1977, 2007-01-24)
hmac-zy\testbench\hmac_ccore_hmacdb.v (1990, 2007-01-24)
hmac-zy\testbench (0, 2006-09-26)
hmac-zy\INCA_libs\worklib\.cdsvmod (0, 2006-09-26)
hmac-zy\INCA_libs\worklib\.inca.db.148.win32 (0, 2006-09-26)
hmac-zy\INCA_libs\worklib\inca.win32.148.pak (1106, 2006-09-26)
hmac-zy\INCA_libs\worklib\.inca.db.151.win32 (0, 2006-12-14)
hmac-zy\INCA_libs\worklib\inca.win32.151.pak (1106, 2006-12-14)
hmac-zy\INCA_libs\worklib (0, 2006-09-26)
hmac-zy\INCA_libs (0, 2006-09-26)
... ...

近期下载者

相关文件


收藏者