motoranalysis

所属分类:matlab编程
开发工具:matlab
文件大小:2478KB
下载次数:8
上传日期:2014-08-26 17:38:09
上 传 者gurunathans
说明:  Analysis of Induction motor

文件列表:
NumJacobian.p (1206, 2013-12-27)
nonlinsolver.p (2045, 2013-12-27)
motoranalysis.p (5098, 2014-02-13)
mesheditor.p (2312, 2013-12-27)
layermesh.p (396, 2013-12-27)
Jcolgrouping.p (529, 2013-12-27)
initgeom.p (3665, 2013-12-27)
InitFieldCircuitCoupling.p (1444, 2014-02-13)
geometryeditor.p (2602, 2013-12-27)
FEMsolver.p (4768, 2013-12-27)
createmesh.p (351, 2013-12-27)
coreeditor.p (2875, 2013-12-27)
coil.p (751, 2013-12-27)
CircuitValidate.p (410, 2013-12-27)
CircuitSetState.p (799, 2013-12-27)
CircuitSetSources.p (483, 2013-12-27)
CircuitGetEJ.p (347, 2013-12-27)
CircuitCreate.p (1608, 2013-12-27)
boundary.p (234, 2013-12-27)
asmkk.p (261, 2013-12-27)
asmK.p (266, 2013-12-27)
windingeditor.fig (15916, 2014-02-05)
tm_changeplot.fig (3007, 2013-11-01)
plotwizard.fig (51620, 2013-10-27)
motoranalysis.fig (30459, 2014-02-14)
mesheditor.fig (10980, 2014-02-13)
geometryeditor.fig (18991, 2013-12-26)
coreeditor.fig (9814, 2013-12-26)
ag_addvariable.fig (2948, 2013-11-01)
example_virtualforce.mat (458256, 2014-02-13)
example_SinglePhase.mat (458227, 2014-02-13)
example_noload.mat (458236, 2014-02-13)
example_JStar.mat (458236, 2014-02-13)
example_eccentricrotor.mat (458246, 2014-02-13)
windingeditor.m (26388, 2014-02-05)
VirtualWorkTorque.m (1472, 2013-10-25)
VirtualWorkForce.m (2403, 2013-10-25)
ValidateLayout.m (592, 2014-02-03)
tm_changeplot.m (5485, 2013-10-16)
StarConnection.m (2878, 2014-02-03)
... ...

MotorAnalysis Version 1.0 Feb2014 Vladimir Kuptsov 2v.kuptsov@gmail.com To run MotorAnalysis type motoranalysis in Matlab Command Window then press Enter. Note that Matlab Current Folder should be change to the folder with application files. Matlab GUI objects are created with MATLAB R2009b - the application may not work with earlier versions of Matlab. Matlab PDE Toolbox is required. If MotorAnalysis does not work properly, please feel free to contact me. A few examples are provided: example0 Simulation of an induction motor under no-load conditions. Simple example to start with. Open file example_noload.mat (menu File -> Open Simulation), run simulation (green triangular button) and see what happens. Simulation data will be stored in file example_noload.mat and in folder "data". _____________________________________________________________________ example1 Simulation of an induction motor with 40% static eccentricity. Related files: example_eccentricrotor.mat simtemplate_eccentricrotor.m _____________________________________________________________________ example2 Testing of accuracy of electromagnetic force calculation depending on a value of virtual displacement. Related files: example_virtualforce.mat simtemplate_virtualforce.m _____________________________________________________________________ example3 Simulation of a three-phase induction motor connected to single-phase supply. Related files: example_SinglePhase.mat SinglePhaseConnection.m simtemplate_SinglePhase.m _____________________________________________________________________ example4 Simulation of a three-phase induction motor with star connected stator winding fed by current sources. Related files: example_JStar.mat CurrentSourceStarConnection.m simtemplate_JStar.m _____________________________________________________________________

近期下载者

相关文件


收藏者