Nexys4_Vivado_Basic

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:64KB
下载次数:13
上传日期:2014-12-23 15:01:31
上 传 者AGDZ
说明:  DIGILENT Nexys4_Vivado_Basic.zip

文件列表:
Nexys4_Vivado_Basic\binary (0, 2013-09-03)
Nexys4_Vivado_Basic\binary\GPIO_demo.bit (3825879, 2013-09-10)
Nexys4_Vivado_Basic\source (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\constrs_1 (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\constrs_1\fileset.xml (591, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\impact (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\runs (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\runs\impl_1.psg (542, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\runs\impl_1 (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\runs\impl_1\constrs_in.xml (592, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\runs\impl_1\impl_1.psg (542, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\runs\runs.xml (397, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\runs\synth_1.psg (246, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\runs\synth_1 (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\runs\synth_1\constrs_in.xml (592, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\runs\synth_1\sources.xml (1751, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\runs\synth_1\synth_1.psg (246, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\sim_1 (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\sim_1\fileset.xml (627, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\sources_1 (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\sources_1\fileset.xml (1961, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\wt (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\wt\java_command_handlers.wdf (1184, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\wt\project.wpc (61, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\wt\synthesis.wdf (1, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.data\wt\webtalk_pa.xml (1971, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.runs (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.runs\.jobs (0, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.runs\.jobs\job1.bat (470, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.runs\.jobs\job1.sh (469, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.runs\.jobs\job2.bat (473, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.runs\.jobs\job2.sh (472, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.runs\.jobs\job3.bat (473, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.runs\.jobs\job3.sh (472, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.runs\.jobs\job4.bat (470, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.runs\.jobs\job4.sh (469, 2013-09-03)
Nexys4_Vivado_Basic\source\Nexys4_Vivado_Basic\Nexys4_Vivado_Basic.runs\.jobs\job5.bat (473, 2013-09-03)
... ...

---------------------------------------------- -- Nexys4 GPIO/UART Demonstration Project -- ---------------------------------------------- ****************** *** OVERVIEW *** ****************** The GPIO/UART Demo project demonstrates a simple usage of the Nexys4's GPIO and UART. The behavior is as follows: *The 16 User LEDs are tied to the 16 User Switches. While the center User button is pressed, the LEDs are instead tied to GND *The 7-Segment display counts from 0 to 9 on each of its 8 digits. This count is reset when the center button is pressed. Also, single anodes of the 7-Segment display are blanked by holding BTNU, BTNL, BTND, or BTNR. Holding the center button blanks all the 7-Segment anodes. *An introduction message is sent across the UART when the device is finished being configured, and after the center User button is pressed. *A message is sent over UART whenever BTNU, BTNL, BTND, or BTNR is pressed. *The Tri-Color LEDs cycle through several colors in a ~4 second loop *Data from the microphone is collected and transmitted over the mono audio out port. *Note that the center user button behaves as a user reset button and is referred to as such in the code comments below All UART communication can be captured by attaching the UART port to a computer running a Terminal program with 9600 Baud Rate, 8 data bits, no parity, and 1 stop bit. ************************** *** ADDITIONAL NOTES *** ************************** * The Nexys4 is not supported by the Hardware server in Vivado 2013.2. iMPACT must be used instead to program the Nexys4 with a .bit file. This will be fixed in Vivado 2013.3.

近期下载者

相关文件


收藏者