sirenqiangdaqi

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:1KB
下载次数:29
上传日期:2007-05-30 09:54:24
上 传 者pan03211345
说明:  < 四人抢答器>>绝对好用的EDA程序!已经通过测试
(<Four Answer器>> definitely use the EDA program! Has passed the test)

文件列表:
qiangda.txt (1128, 2007-05-30)

近期下载者

相关文件


收藏者