trafficlightVHDL

所属分类:交通/航空行业
开发工具:Others
文件大小:64KB
下载次数:43
上传日期:2007-06-28 14:39:41
上 传 者solarphoebus
说明:  用VHDL写的交通灯控制器,基本功能齐全,和马路上十字路口的红绿灯模拟得很像的
(write VHDL traffic signal controllers and complete basic functions, on the road and the traffic lights crossroads as the very Simulation)

文件列表:
trafficlight.pdf (229930, 2006-12-21)

近期下载者

相关文件


收藏者