clk_div

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:35KB
下载次数:45
上传日期:2007-08-22 16:53:18
上 传 者shining317
说明:  vhdl语言描述分频器,实现2、4、8、16……分频,经过实践
(description language VHDL divider, 2,4,8,16 ... ... realize frequency, through the practice of)

文件列表:
clk_div\clkdiv.acf (15827, 2007-08-22)
clk_div\clkdiv(1).cnf (10198, 2007-08-22)
clk_div\clkdiv(2).cnf (6319, 2007-08-22)
clk_div\clkdiv(3).cnf (22584, 2007-08-22)
clk_div\clkdiv(4).cnf (2469, 2007-08-22)
clk_div\clkdiv(5).cnf (1851, 2007-08-22)
clk_div\clkdiv.cnf (15078, 2007-08-22)
clk_div\LIB.DLS (112, 2007-08-22)
clk_div\U0793083.DLS (7660, 2007-08-22)
clk_div\U7972987.DLS (1929, 2007-08-22)
clk_div\U9529935.DLS (1232, 2007-08-22)
clk_div\clkdiv.fit (2277, 2007-08-22)
clk_div\clkdiv.hif (3631, 2007-08-22)
clk_div\clkdiv.jam (34296, 2007-08-22)
clk_div\clkdiv.jbc (34782, 2007-08-22)
clk_div\clkdiv.mmf (26, 2007-08-22)
clk_div\clkdiv.ndb (6572, 2007-08-22)
clk_div\clkdiv.pin (3522, 2007-08-22)
clk_div\clkdiv.pof (2011, 2007-08-22)
clk_div\clkdiv.rpt (14972, 2007-08-22)
clk_div\clkdiv.snf (6847, 2007-08-22)
clk_div\CLKDIV.sym (280, 2007-08-22)
clk_div\clkdiv.vhd (722, 2007-08-22)
clk_div (0, 2007-08-22)

近期下载者

相关文件


收藏者