ad9851-1

所属分类:VHDL/FPGA/Verilog
开发工具:C++ Builder
文件大小:577KB
下载次数:138
上传日期:2007-08-23 20:39:02
上 传 者佐良娜
说明:  经典的dds发生器ad9851vhdl的并行通信代码,能实现6倍频和正弦波的输出。不好k我。
(Dds generator ad9851vhdl classic parallel communication code, to achieve and 6 octave sine wave output. K my bad.)

文件列表:
ad9851-1\ad9851-1.ise (614804, 2007-07-11)
ad9851-1\ad9851.ngr (538860, 2007-07-11)
ad9851-1\ad9851.ngc (59368, 2007-07-11)
ad9851-1\ad9851.bld (587, 2007-07-11)
ad9851-1\ad9851.ngd (110223, 2007-07-11)
ad9851-1\ad9851_map.mrp (9316, 2007-07-11)
ad9851-1\ad9851_map.ngm (188566, 2007-07-11)
ad9851-1\ad9851.par (6498, 2007-07-11)
ad9851-1\ad9851-1.ise_ISE_Backup (614804, 2007-07-11)
ad9851-1\ad9851_map.ncd (47458, 2007-07-11)
ad9851-1\ad9851.ncd (76082, 2007-07-11)
ad9851-1\ad9851.xpi (46, 2007-07-11)
ad9851-1\ad9851_pad.csv (8635, 2007-07-11)
ad9851-1\ad9851.pad (8603, 2007-07-11)
ad9851-1\ad9851_pad.txt (40495, 2007-07-11)
ad9851-1\ad9851.unroutes (143, 2007-07-11)
ad9851-1\ad9851.twx (17651, 2007-07-11)
ad9851-1\ad9851.twr (3041, 2007-07-11)
ad9851-1\ad9851.bgn (5085, 2007-07-11)
ad9851-1\ad9851.drc (38, 2007-07-11)
ad9851-1\ad9851.bit (97724, 2007-07-11)
ad9851-1\_impact.log (4890, 2007-07-11)
ad9851-1\_impact.cmd (594, 2007-07-11)
ad9851-1\ad9851.vhd (5528, 2007-07-11)
ad9851-1\ad9851_summary.html (6409, 2007-07-11)
ad9851-1\ad9851.ucf (383, 2007-07-11)
ad9851-1\ad9851.prj (45, 2007-07-11)
ad9851-1\ad9851.xst (1068, 2007-07-11)
ad9851-1\ad9851.cmd_log (11295, 2007-07-11)
ad9851-1\ad9851.syr (24079, 2007-07-11)
ad9851-1\ad9851.lso (6, 2007-06-15)
ad9851-1\ad9851.stx (0, 2007-07-11)
ad9851-1\ad9851.pcf (821, 2007-07-11)
ad9851-1\ad9851.ut (515, 2007-07-11)
ad9851-1\ad9851.lfp (0, 2007-06-26)
ad9851-1\pepExtractor.prj (43, 2007-06-22)
ad9851-1\ad9851_last_par.ncd (73310, 2007-07-10)
ad9851-1\key.vhd (1387, 2007-07-15)
ad9851-1\_ngo\netlist.lst (51, 2007-07-11)
ad9851-1\xst\work\hdllib.ref (300, 2007-07-11)
... ...

近期下载者

相关文件


收藏者