vga

所属分类:VHDL/FPGA/Verilog
开发工具:TEXT
文件大小:6KB
下载次数:100
上传日期:2007-08-29 10:10:04
上 传 者bayern416
说明:  程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色, 可以使用嵌入式逻辑分析仪观测信号
(Realize the function of the procedure is displayed on the monitor in the VGA color stripes, a total of eight kinds of colors, you can use the embedded logic analyzer observation signal)

文件列表:
Src\ColorBar.bdf (10362, 2005-06-09)
Src\ColorBar.bsf (2947, 2005-06-10)
Src\vga_vl.v (5094, 2004-12-01)
Src\ColorBar.vwf (15197, 2007-04-05)
Src (0, 2007-07-13)

近期下载者

相关文件


收藏者