sin

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:849KB
下载次数:351
上传日期:2007-09-01 18:47:30
上 传 者smilesyh
说明:  Quartus II设计正弦信号发生器。本节通过正弦信号发生器的设计对QuartusII的一些重要功能作一些说明。对本节的详细了解有利于对以后章节有关DSP Builder的应用和设计有更好的理解。
(Quartus II design sinusoidal signal generator. This section through the sinusoidal signal generator QuartusII the design of some of the important features to make some notes. Of this section to learn more about the future in favor of the relevant sections of the application of DSP Builder and design have a better understanding.)

文件列表:
sin.pdf (921000, 2007-09-01)

近期下载者

相关文件


收藏者