ref-sdr-sdram-vhdl

所属分类:单片机开发
开发工具:Others
文件大小:715KB
下载次数:438
上传日期:2007-09-26 14:12:19
上 传 者young118\\
说明:  FPGA连接SDRAM的源程序,VHDL语言实现,功能基本完全。应用效果好。
(FPGA connected SDRAM source, VHDL language, the basic function fully. Application effective.)

文件列表:
ref-sdr-sdram-vhdl\cmp_state.ini (1, 2007-06-15)
ref-sdr-sdram-vhdl\CVS (0, 2002-09-02)
ref-sdr-sdram-vhdl\db\sdr_sdram.db_info (151, 2007-06-15)
ref-sdr-sdram-vhdl\db\sdr_sdram.eco.cdb (156, 2007-06-15)
ref-sdr-sdram-vhdl\db\sdr_sdram.sld_design_entry.sci (150, 2007-06-15)
ref-sdr-sdram-vhdl\db (0, 2007-06-15)
ref-sdr-sdram-vhdl\doc\CVS (0, 2002-09-02)
ref-sdr-sdram-vhdl\doc (0, 2002-09-02)
ref-sdr-sdram-vhdl\license.txt (12583, 2004-08-25)
ref-sdr-sdram-vhdl\sdr_sdram.acf (14721, 2007-05-04)
ref-sdr-sdram-vhdl\sdr_sdram.hif (1524, 2007-05-04)
ref-sdr-sdram-vhdl\sdr_sdram.pdf (917283, 2002-09-02)
ref-sdr-sdram-vhdl\sdr_sdram.qpf (944, 2007-06-15)
ref-sdr-sdram-vhdl\sdr_sdram.qsf (2394, 2007-06-15)
ref-sdr-sdram-vhdl\sdr_sdram.qws (115, 2007-06-15)
ref-sdr-sdram-vhdl\simulation\CVS (0, 2002-09-02)
ref-sdr-sdram-vhdl\simulation\sdr_sdram_tb.vhd (29794, 2002-09-02)
ref-sdr-sdram-vhdl\simulation (0, 2007-05-09)
ref-sdr-sdram-vhdl\source\cmp_state.ini (2, 2007-06-15)
ref-sdr-sdram-vhdl\source\Command.vhd (16762, 2002-09-02)
ref-sdr-sdram-vhdl\source\control_interface.vhd (9345, 2002-09-02)
ref-sdr-sdram-vhdl\source\CVS (0, 2002-09-02)
ref-sdr-sdram-vhdl\source\db\sdr_sdram.db_info (151, 2007-05-04)
ref-sdr-sdram-vhdl\source\db\sdr_sdram.eco.cdb (156, 2007-06-15)
ref-sdr-sdram-vhdl\source\db\sdr_sdram.sld_design_entry.sci (150, 2007-06-15)
ref-sdr-sdram-vhdl\source\db (0, 2007-06-15)
ref-sdr-sdram-vhdl\source\pll1.vhd (4718, 2000-06-06)
ref-sdr-sdram-vhdl\source\sdr_data_path.vhd (2595, 2002-09-02)
ref-sdr-sdram-vhdl\source\sdr_sdram.qpf (943, 2007-05-04)
ref-sdr-sdram-vhdl\source\sdr_sdram.qsf (1882, 2007-06-15)
ref-sdr-sdram-vhdl\source\sdr_sdram.qws (115, 2007-06-15)
ref-sdr-sdram-vhdl\source\sdr_sdram.vhd (15447, 2002-09-02)
ref-sdr-sdram-vhdl\source (0, 2007-05-09)
ref-sdr-sdram-vhdl (0, 2007-06-15)

SDR SDRAM Controller v1.1 readme.txt This readme file for the SDR SDRAM Controller includes information that was not incorporated into the SDR SDRAM Controller White Paper v1.1. The PLL is targeted at APEX(TM) devices. Please regenerate for your chosen architecture. Last updated September, 2002 Copyright 2002 Altera Corporation. All rights reserved.

近期下载者

相关文件


收藏者