dividefre

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:124KB
下载次数:18
上传日期:2007-10-29 15:09:52
上 传 者管理员
说明:  CPLD_EPM7064程序,运用计数器实现的分频程序,VHDL
(CPLD_EPM7064 procedures, the use of counters to achieve the sub-frequency procedures, VHDL)

文件列表:
dividefre\dividefre.vhd (1333, 2007-03-26)
dividefre\dividefre.acf (15860, 2007-03-26)
dividefre\dividefre.cnf (133181, 2007-03-26)
dividefre\DIVIDEFRE.sym (261, 2007-03-26)
dividefre\dividefre(1).cnf (19225, 2007-03-26)
dividefre\dividefre(2).cnf (12255, 2007-03-26)
dividefre\dividefre(3).cnf (22584, 2007-03-26)
dividefre\dividefre(4).cnf (22584, 2007-03-26)
dividefre\dividefre(5).cnf (4331, 2007-03-26)
dividefre\dividefre(6).cnf (1851, 2007-03-26)
dividefre\dividefre.hif (5415, 2007-04-05)
dividefre\dividefre.ndb (51294, 2007-03-26)
dividefre\dividefre.pin (3525, 2007-03-26)
dividefre\dividefre.fit (7264, 2007-03-26)
dividefre\dividefre.snf (95511, 2007-03-26)
dividefre\dividefre.pof (3962, 2007-03-26)
dividefre\dividefre.jam (40368, 2007-03-26)
dividefre\dividefre.jbc (42150, 2007-03-26)
dividefre\dividefre.scf (80000387, 2007-03-26)
dividefre\dividefre.mmf (250, 2007-03-26)
dividefre\U9648629.DLS (1198, 2007-03-26)
dividefre\U1199319.DLS (2640, 2007-03-26)
dividefre\U1526990.DLS (12093, 2007-03-26)
dividefre\LIB.DLS (131, 2007-03-26)
dividefre\dividefre.rpt (56372, 2007-03-26)
dividefre (0, 2007-03-26)

近期下载者

相关文件


收藏者