4cheng4jianpanxianshi

所属分类:单片机开发
开发工具:Others
文件大小:86KB
下载次数:34
上传日期:2007-12-11 17:45:08
上 传 者coolrainy
说明:  4乘4键盘识别与显示程序和说明(vhdl) 设计了一个的4x4键盘识别与显示模块。小键盘中有0~f共16个按键,小键盘和数码管都连接到PLD芯片上。
(4 x 4 keyboard and display identification and description of procedures (vhdl) designed a recognition of the 4x4 keypad and display module. Small keyboard in the 0 ~ f total of 16 keys, small keyboard and digital tube are connected to the PLD chip.)

文件列表:
vhdl program\debouncing.acf (14689, 2006-12-14)
vhdl program\debouncing.fit (2152, 2006-03-15)
vhdl program\debouncing.hif (1671, 2006-12-14)
vhdl program\debouncing.jam (34260, 2006-03-15)
vhdl program\debouncing.jbc (34786, 2006-03-15)
vhdl program\debouncing.mmf (252, 2006-03-15)
vhdl program\debouncing.ndb (1227, 2006-03-15)
vhdl program\debouncing.pin (3526, 2006-03-15)
vhdl program\debouncing.pof (2015, 2006-03-15)
vhdl program\debouncing.rpt (13565, 2006-03-15)
vhdl program\debouncing.scf (1174, 2006-03-15)
vhdl program\debouncing.snf (3215, 2006-03-15)
vhdl program\DEBOUNCING.sym (237, 2006-03-15)
vhdl program\debouncing.vhd (768, 2006-03-15)
vhdl program\keyboard.acf (15830, 2006-03-16)
vhdl program\keyboard.fit (5894, 2006-03-16)
vhdl program\keyboard.hif (5429, 2006-12-14)
vhdl program\keyboard.jam (37001, 2006-03-16)
vhdl program\keyboard.jbc (40012, 2006-03-16)
vhdl program\keyboard.mmf (248, 2006-03-16)
vhdl program\keyboard.ndb (41378, 2006-03-16)
vhdl program\keyboard.pin (3524, 2006-03-16)
vhdl program\keyboard.pof (3960, 2006-03-16)
vhdl program\keyboard.rpt (34309, 2006-03-16)
vhdl program\keyboard.scf (2808, 2006-03-16)
vhdl program\keyboard.snf (58000, 2006-03-16)
vhdl program\KEYBOARD.sym (511, 2006-03-15)
vhdl program\keyboard.vhd (4915, 2006-03-16)
4x4键盘识别与显示设计说明.doc (29184, 2007-12-11)
vhdl program (0, 2007-12-11)

近期下载者

相关文件


收藏者