VGA_example

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:941KB
下载次数:283
上传日期:2007-12-12 20:57:16
上 传 者bankqd
说明:  FPGA/cpld 控制显示器显示 VHDL源码 内有测试程序
(FPGA/cpld control display VHDL source code, there are test procedures)

文件列表:
VGA_example (0, 2005-12-13)
VGA_example\vgainterface (0, 2005-12-13)
VGA_example\vgainterface\cmp_state.ini (2, 2005-04-26)
VGA_example\vgainterface\code.hex (2048, 2005-04-25)
VGA_example\vgainterface\serv_req_info.txt (5070, 2005-04-24)
VGA_example\vgainterface\sim.cfg (7, 2005-04-24)
VGA_example\vgainterface\tsinghua.cmp (1620, 2005-04-25)
VGA_example\vgainterface\tsinghua.mif (10527, 2005-04-25)
VGA_example\vgainterface\tsinghua.vhd (6469, 2005-04-25)
VGA_example\vgainterface\undo_redo.txt (0, 2005-04-24)
VGA_example\vgainterface\vgainterface.asm.rpt (8935, 2005-04-25)
VGA_example\vgainterface\vgainterface.cdf (318, 2005-04-25)
VGA_example\vgainterface\vgainterface.done (26, 2005-04-25)
VGA_example\vgainterface\vgainterface.fit.eqn (27086, 2005-04-25)
VGA_example\vgainterface\vgainterface.fit.rpt (71980, 2005-04-25)
VGA_example\vgainterface\vgainterface.fit.summary (399, 2005-04-25)
VGA_example\vgainterface\vgainterface.flow.rpt (4128, 2005-04-25)
VGA_example\vgainterface\vgainterface.map.eqn (20704, 2005-04-25)
VGA_example\vgainterface\vgainterface.map.rpt (18501, 2005-04-25)
VGA_example\vgainterface\vgainterface.map.summary (339, 2005-04-25)
VGA_example\vgainterface\vgainterface.mif (21191, 2005-04-25)
VGA_example\vgainterface\vgainterface.pin (19986, 2005-04-25)
VGA_example\vgainterface\vgainterface.pof (131214, 2005-04-25)
VGA_example\vgainterface\vgainterface.qpf (1564, 2005-04-26)
VGA_example\vgainterface\vgainterface.qsf (3104, 2005-04-26)
VGA_example\vgainterface\vgainterface.qws (1345, 2005-04-26)
VGA_example\vgainterface\vgainterface.sim.rpt (4579, 2005-04-24)
VGA_example\vgainterface\vgainterface.sof (74078, 2005-04-25)
VGA_example\vgainterface\vgainterface.tan.rpt (117937, 2005-04-25)
VGA_example\vgainterface\vgainterface.tan.summary (1971, 2005-04-25)
VGA_example\vgainterface\vgainterface.vhd (5803, 2005-04-25)
VGA_example\vgainterface\vgainterface.vwf (4638, 2005-04-24)
VGA_example\vgainterface\db (0, 2005-12-13)
VGA_example\vgainterface\db\altsyncram_fiq.tdf (6779, 2005-04-25)
VGA_example\vgainterface\db\altsyncram_puq.tdf (4437, 2005-04-25)
VGA_example\vgainterface\db\altsyncram_qcr.tdf (6819, 2005-04-25)
VGA_example\vgainterface\db\altsyncram_s1r.tdf (178436, 2005-04-25)
VGA_example\vgainterface\db\cntr_ea7.tdf (4054, 2005-04-25)
VGA_example\vgainterface\db\cntr_vu7.tdf (5206, 2005-04-24)
VGA_example\vgainterface\db\mux_rab.tdf (2449, 2005-04-25)
... ...

近期下载者

相关文件


收藏者