MyCounter

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:1KB
下载次数:5
上传日期:2008-01-06 16:27:57
上 传 者houh007
说明:  可自由配置的通用计数器,我设计的时候一直在用
(Be free to configure a common counter, when I designed has been used)

文件列表:
MyCounter.vhd (1013, 2007-10-28)

近期下载者

相关文件


收藏者