Verification_E_language_Motor_Controller

所属分类:其他嵌入式/单片机内容
开发工具:Others
文件大小:1021KB
下载次数:4
上传日期:2008-01-14 15:03:53
上 传 者独孤败
说明:  关于一个Motor Controller示例的E语言验证程序!
(err)

文件列表:
source (0, 2007-07-20)
source\e (0, 2007-07-20)
source\e\lab_mc_cover.e (1029, 2007-06-08)
source\e\lab_mc_driver.e (3551, 2007-06-09)
source\e\lab_mc_env.e (559, 2007-05-31)
source\e\lab_mc_monitor.e (12838, 2007-06-08)
source\e\lab_mc_test.e (1571, 2007-06-09)
source\e\lab_mc_test2.e (1729, 2007-06-09)
source\e\lab_mc_test3.e (1744, 2007-06-09)
source\e\lab_mc_test_dn.e (323, 2007-06-09)
source\e\lab_mc_test_original.e (309, 2007-06-09)
source\lab_cover_new.e (2773, 2007-06-03)
source\lab_mc_cover_1.e (1525, 2007-05-31)
source\lab_mc_cover_2.e (1726, 2007-06-03)
source\lab_mc_cover_3.e (1294, 2007-06-07)
source\lab_mc_driver.e (2893, 2007-05-30)
source\lab_mc_driver2.e (3070, 2007-05-30)
source\lab_mc_driver_2.e (3468, 2007-05-31)
source\lab_mc_monitor_1.e (11166, 2007-05-31)
source\lab_mc_monitor_2.e (14103, 2007-05-31)
source\lab_mc_monitor_3.e (12503, 2007-06-03)
source\lab_mc_monitor_5.e (15399, 2007-06-06)
source\vhdl (0, 2007-07-20)
source\vhdl\bug_lab_mc-rtl-a_1.vhd (4207, 2007-05-31)
source\vhdl\rtl (0, 2007-07-20)
source\vhdl\rtl\bug_lab_mc-e.vhd (382, 2007-05-31)
source\vhdl\rtl\bug_lab_mc-rtl-a.vhd (4363, 2007-06-08)
source\vhdl\rtl\bug_lab_mc-rtl-conf-c.vhd (93, 2007-04-25)
source\vhdl\tb (0, 2007-07-20)
source\vhdl\tb\lab_mc_sn-e.vhd (122, 2007-05-28)
source\vhdl\tb\lab_mc_sn-rtl-a.vhd (1198, 2007-05-31)
source\vhdl\tb\lab_mc_sn-rtl-conf-c.vhd (271, 2007-05-30)
source\vhdl\tb\specman_mc_nc.vhd (18064, 2007-05-28)
Motor Controller Verification Specification v1.1.doc (1308160, 2007-06-23)
Motor Controller vPlan v1.5.doc (58880, 2007-06-17)

近期下载者

相关文件


收藏者