firfilter

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:1603KB
下载次数:83
上传日期:2008-02-18 14:23:12
上 传 者Ericwhu
说明:  实现一个FIR滤波器,基于直接型型算法 输入数据宽度:8位 输出数据宽度:16位 阶数:16阶 滤波器经转换后(右移16位)的特征参数为: h[0]=h[15]=0000 h[1]=h[14]=0065 h[2]=h[13]=018F h[3]=h[12]=035A h[4]=h[11]=0579 h[5]=h[10]=078E h[6]=h[9]=0935 h[7]=h[8]=0A1F
(The realization of a FIR filter, type-type algorithm based on direct input data width: 8-bit output data width: 16 bands: 16 bands converted by the filter (shifted to right 16-bit) for the characteristic parameters: h [0] = h [15] = 0000h [1] = h [14] = 0065h [2] = h [13] = 018F h [3] = h [12] = 035A h [4] = h [11] = 0579h [5] = h [10] = 078E h [6] = h [9] = 0935h [7] = h [8] = 0A1F)

文件列表:
firfilter\fir_in.salt (5457, 2007-07-22)
firfilter\firfilter.qpf (913, 2007-11-25)
firfilter\firfilter.qsf (1982, 2007-11-26)
firfilter\db\mult_r7q.tdf (5152, 2007-11-25)
firfilter\db\firfilter.db_info (137, 2007-11-25)
firfilter\db\firfilter.signalprobe.cdb (837, 2007-11-26)
firfilter\db\firfilter.sim.qmsg (3157, 2007-11-26)
firfilter\db\firfilter.(0).cnf.cdb (30522, 2007-11-26)
firfilter\db\firfilter.(0).cnf.hdb (7620, 2007-11-26)
firfilter\db\firfilter.cbx.xml (1027, 2007-11-26)
firfilter\db\firfilter.fit.qmsg (55594, 2007-11-26)
firfilter\db\prev_cmp_firfilter.map.qmsg (21232, 2007-11-26)
firfilter\db\firfilter.sim.hdb (2797, 2007-11-26)
firfilter\db\firfilter.asm.qmsg (2033, 2007-11-26)
firfilter\db\firfilter.tan.qmsg (53856, 2007-11-26)
firfilter\db\firfilter.map.qmsg (21232, 2007-11-26)
firfilter\db\firfilter.(28).cnf.cdb (1699, 2007-11-25)
firfilter\db\firfilter.(28).cnf.hdb (751, 2007-11-25)
firfilter\db\firfilter.hif (58278, 2007-11-26)
firfilter\db\firfilter.(29).cnf.cdb (1306, 2007-11-25)
firfilter\db\firfilter.(29).cnf.hdb (524, 2007-11-25)
firfilter\db\firfilter.hier_info (73506, 2007-11-26)
firfilter\db\firfilter.rtlv_sg_swap.cdb (2401, 2007-11-26)
firfilter\db\firfilter.pre_map.cdb (24046, 2007-11-26)
firfilter\db\firfilter.(30).cnf.cdb (1639, 2007-11-25)
firfilter\db\firfilter.map_bb.logdb (924, 2007-11-26)
firfilter\db\firfilter.sgdiff.cdb (25055, 2007-11-26)
firfilter\db\firfilter.sgdiff.hdb (20267, 2007-11-26)
firfilter\db\firfilter.psp (3, 2007-11-26)
firfilter\db\firfilter.dbp (0, 2007-11-26)
firfilter\db\firfilter.pss (1748, 2007-11-26)
firfilter\db\firfilter.(1).cnf.cdb (1588, 2007-11-25)
firfilter\db\firfilter.(1).cnf.hdb (549, 2007-11-25)
firfilter\db\firfilter.(2).cnf.cdb (17060, 2007-11-25)
firfilter\db\firfilter.(2).cnf.hdb (629, 2007-11-25)
firfilter\db\firfilter.(3).cnf.cdb (2392, 2007-11-25)
firfilter\db\firfilter.(3).cnf.hdb (665, 2007-11-25)
firfilter\db\add_sub_7dh.tdf (1429, 2007-11-25)
firfilter\db\firfilter.(4).cnf.cdb (1323, 2007-11-25)
firfilter\db\firfilter.(4).cnf.hdb (566, 2007-11-25)
... ...

近期下载者

相关文件


收藏者