Test_Bench

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:6KB
下载次数:10
上传日期:2008-03-07 09:07:52
上 传 者binchou
说明:  波形发生器.经典双进程状态机.相应加法器的测试向量
(Waveform generator. Classic dual-process state machine. Corresponding adder test bench)

文件列表:
测试向量(Test Bench)\加法器源程序.txt (2948, 2005-10-21)
测试向量(Test Bench)\相应加法器的测试向量(test bench).txt (4396, 2005-10-21)
测试向量(Test Bench)\波形发生器(含test beach).txt (2607, 2005-10-21)
测试向量(Test Bench)\经典双进程状态机(含test beach).txt (2049, 2005-10-21)
测试向量(Test Bench)\伪随机数产生器.txt (8345, 2005-10-21)
测试向量(Test Bench) (0, 2008-03-07)

近期下载者

相关文件


收藏者