hdb3

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:80
上传日期:2008-04-10 10:55:43
上 传 者duandunqin
说明:  hDB3的编解码模块 是在maxplusII 下验证过的 并且下到片子中都正确
(HDB3 codec module is tested under maxplusII and down to the film are correct)

文件列表:
hdb3\hdb.vhd (1129, 2007-07-04)
hdb3\hdb3a.vhd (661, 2007-06-29)
hdb3\hdb3c.vhd (765, 2007-06-29)
hdb3\hdb3decoder.vhd (1256, 2007-07-04)
hdb3\hdb3system.vhd (826, 2007-07-03)
hdb3\m1.vhd (435, 2007-07-05)
hdb3\hdb3.vhd (840, 2007-06-29)
hdb3\mux.vhd (404, 2007-08-01)
hdb3 (0, 2007-07-03)

近期下载者

相关文件


收藏者