MotionEstimation_project_code

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:308KB
下载次数:388
上传日期:2008-04-18 12:04:36
上 传 者rayluo
说明:  Use verilog and VHDL to implement the Motion Estimation function, work as the hardware accelerator.

文件列表:
Motion Estimation_project_code\motion_estimation.v (28594, 2008-01-12)
Motion Estimation_project_code\testbench\basic_unit_package.vhd (1223, 2007-11-28)
Motion Estimation_project_code\testbench\SRAM_CF.vhd (3122951, 2007-12-31)
Motion Estimation_project_code\testbench\SRAM_PF.vhd (3265829, 2007-12-31)
Motion Estimation_project_code\testbench\tb_SRAM_CF.vhd (5543, 2007-12-31)
Motion Estimation_project_code\testbench (0, 2008-01-12)
Motion Estimation_project_code (0, 2008-01-12)

近期下载者

相关文件


收藏者