STATE

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:94
上传日期:2008-04-19 10:38:40
上 传 者望穿秋水
说明:  带同步复位的状态机,使用VHDL代码编写
(With synchronous reset state machine, the use of VHDL coding)

文件列表:
STATE.doc (22528, 2008-04-19)

近期下载者

相关文件


收藏者