VHDLexample
vhdl 

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:32KB
下载次数:12
上传日期:2008-04-20 20:52:05
上 传 者lujianfeng229
说明:  VHDL的例子,是我学VHDL以来收集的,那出来共享,想要的下哈
(VHDL example is I have learned since the collection of VHDL, and that out of sharing, the next you want to Kazakhstan)

文件列表:
代码 (0, 2003-10-28)
代码\11.1 (0, 2003-10-28)
代码\11.1\fifo.vhd (3056, 2003-10-28)
代码\11.1\Rx_Sla.vhd (7111, 2003-10-28)
代码\11.1\topfile.vhd (4475, 2003-10-28)
代码\11.1\Tx_Sla (7111, 2003-10-28)
代码\11.1\Tx_Sla.vhd (3056, 2003-10-28)
代码\11.1\up.vhd (958, 2003-10-28)
代码\11.2 (0, 2003-10-28)
代码\11.2\Intface.vhd (12248, 2003-10-28)
代码\11.2\Modem.vhd (2448, 2003-10-28)
代码\11.2\Rxcver.vhd (11488, 2003-10-28)
代码\11.2\top.vhd (958, 2003-10-28)
代码\11.2\Txmitt.vhd (9858, 2003-10-28)
代码\11.3 (0, 2003-10-28)
代码\11.3\bottom.vhd (1895, 2003-10-28)
代码\11.3\topfile.vhd (1895, 2003-10-28)
代码\11.4 (0, 2003-10-28)
代码\11.4\fifoasyn.vhd (16166, 2003-10-28)
代码\11.4\fifoctlr_cc.vhd (6862, 2003-10-28)
代码\11.5 (0, 2003-10-28)
代码\11.5\DLL_MIRROR_1.VHD (1366, 2003-10-28)
代码\11.5\dll_mirror_2.vhd (1554, 2003-10-28)
代码\11.5\dll_standard.vhd (6406, 2003-10-28)
代码\11.6 (0, 2003-10-28)
代码\11.6\flowthrough (0, 2003-10-28)
代码\11.6\flowthrough\DLL_2X_BOARD.vhd (2479, 2003-10-28)
代码\11.6\flowthrough\PIPELINED_STAGES_P.vhd (1951, 2003-10-28)
代码\11.6\pipeline (0, 2003-10-28)
代码\11.6\pipeline\ADDRBITS_OUT.VHD (930, 2003-10-28)
代码\11.6\pipeline\DATABITS_INOUT.vhd (1305, 2003-10-28)
代码\11.6\pipeline\Pipelined.VHD (7005, 2003-10-28)
代码\11.6\pipeline\TIE_UNUSED_SIGS.vhd (2010, 2003-10-28)

近期下载者

相关文件


收藏者