fifo8_8

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:33
上传日期:2008-05-08 16:21:14
上 传 者zzhito
说明:  8*8位的fifo数据缓冲器的vhdl源程序。经过quartus ii 6.0 验证成功。
(8* 8 of the fifo data buffer of the VHDL source code. After quartus ii 6.0 proved to be successful.)

文件列表:
8.8位的fifo数据缓冲器的vhdl源程序.txt (2043, 2006-07-28)

近期下载者

相关文件


收藏者