dct

所属分类:图形图象
开发工具:VHDL
文件大小:846KB
下载次数:33
上传日期:2008-05-14 00:36:23
上 传 者lileiliu
说明:  this si Arithmetic core,it contains FreeDCT-L and FreeDCT-M.FreeDCT-L is a low power architecture 1-Dimensional 8-point DCT/IDCT core.FreeDCT-M is a moderate speed 1-Dimensional IDCT core

文件列表:
dct-thesis.PDF (513124, 2000-09-08)
dct8_medium.vhd (82851, 2000-09-07)
dctslow.vhd (22880, 2000-09-08)
FreeDCT-L.pdf (427458, 2000-09-08)
serout.bmp (816394, 2000-09-08)
serout.pdf (137372, 2000-09-08)
serout.vhd (1100, 2000-09-08)

近期下载者

相关文件


收藏者