8051VerilogSourceCode

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:247KB
下载次数:15
上传日期:2008-05-23 14:41:40
上 传 者SUNADNREW
说明:  8051的VerilogSourceCode 用于FPGA的NOISii系统
(8051 VerilogSourceCode system for FPGA-NOISii)

文件列表:
8051的Verilog源代码\syn\src\verilog\disp.v (1402, 2002-02-12)
8051的Verilog源代码\syn\src\verilog\oc8051_fpga_top.v (4718, 2002-02-12)
8051的Verilog源代码\syn\src\verilog\oc8051_ram.v (3987, 2002-02-12)
8051的Verilog源代码\syn\src\verilog\oc8051_rom.v (7714, 2002-02-12)
8051的Verilog源代码\syn\src\verilog\read.me (51, 2002-02-12)
8051的Verilog源代码\syn\src\verilog (0, 2006-03-22)
8051的Verilog源代码\syn\src (0, 2006-03-22)
8051的Verilog源代码\syn\out\oc8051.ucf (866, 2002-02-05)
8051的Verilog源代码\syn\out\oc8051_top.bit (589527, 2002-02-12)
8051的Verilog源代码\syn\out\oc8051_top.srm (453275, 2002-02-12)
8051的Verilog源代码\syn\out\oc8051_top.srs (169635, 2002-02-12)
8051的Verilog源代码\syn\out\read.me (98, 2002-02-12)
8051的Verilog源代码\syn\out (0, 2006-03-22)
8051的Verilog源代码\syn\log\oc8051_top.srr (44163, 2002-02-12)
8051的Verilog源代码\syn\log (0, 2006-03-22)
8051的Verilog源代码\syn (0, 2006-03-22)
8051的Verilog源代码\sim\rtl_sim\src\verilog\oc8051_ram.v (3838, 2001-08-04)
8051的Verilog源代码\sim\rtl_sim\src\verilog\oc8051_rom.v (6891, 2001-08-04)
8051的Verilog源代码\sim\rtl_sim\src\verilog (0, 2006-03-22)
8051的Verilog源代码\sim\rtl_sim\src (0, 2006-03-22)
8051的Verilog源代码\sim\rtl_sim\run\MAKE (1310, 2001-08-04)
8051的Verilog源代码\sim\rtl_sim\run\make_fpga (1390, 2001-08-04)
8051的Verilog源代码\sim\rtl_sim\run (0, 2006-03-22)
8051的Verilog源代码\sim\rtl_sim\out\VERILOG.LOG (18984, 2001-08-04)
8051的Verilog源代码\sim\rtl_sim\out (0, 2006-03-22)
8051的Verilog源代码\sim\rtl_sim (0, 2006-03-22)
8051的Verilog源代码\sim (0, 2006-03-22)
8051的Verilog源代码\rtl\verilog\oc8051_acc.v (4456, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_alu.v (7607, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_alu_src1_sel.v (3827, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_alu_src2_sel.v (3656, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_alu_src3_sel.v (3401, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_comp.v (3924, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_cy_select.v (3683, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_decoder.v (101008, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_defines.v (16128, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_divide.v (4774, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_dptr.v (4167, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_ext_addr_sel.v (3688, 2001-08-04)
8051的Verilog源代码\rtl\verilog\oc8051_fpga_tb.v (1212, 2001-08-04)
... ...

近期下载者

相关文件


收藏者