alu

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:107
上传日期:2008-05-29 17:52:02
上 传 者arielcy
说明:  4bit ALU(运算逻辑单元)的设计 给出了此次设计alu的输入输出结构及相应的位数。其中C0是一位的进位输入,A和B分别是4位的数据输入,S0、S1、M分别为一位的功能选择输入信号;Cout是一位的进位输出,F是4为的运算结果输出。
(4bit ALU (arithmetic logic unit) design is given in the design of alu input and output structure and the corresponding median. C0 which is a binary input of, A and B are four data entry, S0, S1, M, respectively, as a function of choice of the input signal Cout of a binary output, F is 4 for computing the results of output.)

文件列表:
alu.v (3265, 2007-11-28)
alu_test.v (2463, 2007-12-06)

近期下载者

相关文件


收藏者