multi_baudrate_generator

所属分类:串口编程
开发工具:VHDL
文件大小:1KB
下载次数:5
上传日期:2008-06-17 11:15:57
上 传 者lhlhlhlh102
说明:  波特率发生器,用于uart源码的开发,可以用于非标准波特率
(Baud rate generator for UART-source development, can be used for non-standard baud rate)

文件列表:
multi_baudrate_generator.vhd (3363, 2007-11-21)

近期下载者

相关文件


收藏者