SINECOSINE

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:41KB
下载次数:58
上传日期:2008-06-28 11:18:41
上 传 者timst1979cn
说明:  三角函数硬件实现代码,包括详细的文档和代码
(Trigonometric hardware implementation code, including detailed documentation and code)

文件列表:
SINE COSINE三角函数硬件实现代码\SINE COSINE三角函数硬件实现代码\cordic.doc (95744, 2006-12-07)
SINE COSINE三角函数硬件实现代码\SINE COSINE三角函数硬件实现代码\cordic_tst.vhd (2591, 2006-12-07)
SINE COSINE三角函数硬件实现代码\SINE COSINE三角函数硬件实现代码\p2r_cordic.vhd (1772, 2006-12-07)
SINE COSINE三角函数硬件实现代码\SINE COSINE三角函数硬件实现代码\p2r_CordicPipe.vhd (3424, 2006-12-07)
SINE COSINE三角函数硬件实现代码\SINE COSINE三角函数硬件实现代码\sc_corproc.vhd (1326, 2006-12-07)
SINE COSINE三角函数硬件实现代码\SINE COSINE三角函数硬件实现代码\三角函数公式.doc (26624, 2006-12-07)
SINE COSINE三角函数硬件实现代码\SINE COSINE三角函数硬件实现代码\面向VLSI实现三角函数求解算法.doc (29184, 2006-12-07)
SINE COSINE三角函数硬件实现代码\SINE COSINE三角函数硬件实现代码 (0, 2008-03-10)
SINE COSINE三角函数硬件实现代码 (0, 2008-06-28)

近期下载者

相关文件


收藏者