DigitalPLL

所属分类:系统设计方案
开发工具:VHDL
文件大小:422KB
下载次数:339
上传日期:2008-06-28 18:22:39
上 传 者lybobo0515
说明:  介绍数字锁相环的基本结构,详细分析基于FPGA的数字锁相环的鉴相器、环路滤波器、压控振荡器各部分的实现方法,并给出整个数字锁相环的实现原理图。仿真结果表明,分析合理,设计正确。

文件列表:
基于FPGA的数字锁相环的设计.caj (487589, 2008-03-12)

近期下载者

相关文件


收藏者