ballgame

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:118
上传日期:2008-07-01 10:00:44
上 传 者noski
说明:  用VHDL语言编写的弹球游戏,控制挡板接住在屏幕上反弹的小球。 显示输出为标准VGA信号,可直接连接VGA显示器。 可用QuartusII软件下载到FPGA中进行实现。
(Using VHDL language pinball game, control baffle bounce on the screen to catch the ball. Show the output as a standard VGA signal, can be directly connected to VGA displays. QuartusII available in software downloaded to the FPGA to realize.)

文件列表:
vga_sig.vhd (3694, 2008-06-12)
ballgame.vhd (11271, 2008-06-12)

近期下载者

相关文件


收藏者