syn_frame

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:75KB
下载次数:184
上传日期:2008-07-09 20:41:18
上 传 者msy686
说明:  帧同步Verilog HDL源程序 实现接收机的同步功能
(Frame Synchronization Verilog HDL source code to achieve synchronization receiver)

文件列表:
syn_frame\syn_frame.v (3083, 2008-05-13)
syn_frame\syn_frame.ise (257640, 2008-05-13)
syn_frame (0, 2008-05-29)

近期下载者

相关文件


收藏者