clock

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2KB
下载次数:17
上传日期:2008-07-11 14:19:29
上 传 者furcolew
说明:  两个按键控制校时的VHDL时钟源码,带定时闹钟和日历功能
(Two buttons control the school at the time of VHDL source clock, alarm clock and calendar with timing function)

文件列表:
clock.vhd (9600, 2006-01-22)

近期下载者

相关文件


收藏者