sinwave

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:1KB
下载次数:26
上传日期:2008-07-14 16:22:06
上 传 者etter
说明:  用verilog HDL产生正弦阶梯波。加da即可输出正弦波
(Using verilog HDL ladder generated sine wave. Da can increase the output sine wave)

文件列表:
sinwave.v (6702, 2008-04-11)

近期下载者

相关文件


收藏者