frequency_division

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:11
上传日期:2008-08-04 19:58:34
上 传 者yel27
说明:  任意奇数分频,只要修改N即可实现 可验证
(Arbitrary odd-numbered sub-frequency, as long as the modified N can realize verifiable)

文件列表:
frequency_division.txt (930, 2008-03-25)

近期下载者

相关文件


收藏者