DAC0832VHDL

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:23
上传日期:2008-08-15 11:26:26
上 传 者hlm5831475@163.com
说明:  DAC0832 接口电路程序.功能:产生频率为762.9Hz的锯齿波DAC0832VHDL程序与仿真
(DAC0832 procedures interface circuit. Functions: generate the sawtooth frequency of 762.9Hz and simulation procedures DAC0832VHDL)

文件列表:
8.6 DAC0832 接口电路程序.doc (21504, 2010-03-28)

近期下载者

相关文件


收藏者