ps2

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:23KB
下载次数:91
上传日期:2008-09-11 15:28:14
上 传 者iketty
说明:  ps2接口源程序。标准的键盘和鼠标接口,在Xilinx SpartanII XC2S200 实验板上通过验证
(ps2 interface source. Standard keyboard and mouse interface, in the experiments on-board Xilinx SpartanII XC2S200 validated)

文件列表:
verilog\CVS\Entries (384, 2008-09-11)
verilog\CVS\Entries.Extra (193, 2008-09-11)
verilog\CVS\Entries.Extra.Old (0, 2008-09-11)
verilog\CVS\Entries.Old (0, 2008-09-11)
verilog\CVS\Repository (17, 2008-09-11)
verilog\CVS\Root (57, 2008-09-11)
verilog\CVS\Template (0, 2008-09-11)
verilog\ps2_defines.v (4840, 2003-10-03)
verilog\ps2_io_ctrl.v (4441, 2002-04-09)
verilog\ps2_keyboard.v (20528, 2003-10-03)
verilog\ps2_mouse.v (19099, 2003-11-11)
verilog\ps2_top.v (10912, 2003-10-03)
verilog\ps2_translation_table.v (9917, 2003-07-01)
verilog\ps2_wb_if.v (23912, 2003-11-07)
verilog\timescale.v (18, 2002-02-19)
verilog\CVS (0, 2008-09-11)
verilog (0, 2008-09-11)

近期下载者

相关文件


收藏者