bianmaqi

所属分类:通讯编程
开发工具:VHDL
文件大小:35KB
下载次数:110
上传日期:2008-09-25 20:50:16
上 传 者dhjie1981
说明:  一个完整的viterbi(2,1,7)编码程序,使用的是Verilog语言
(A complete viterbi (2,1,7) coding procedures, using the Verilog language)

文件列表:
bianmaqi (0, 2008-09-22)
bianmaqi\_xmsgs (0, 2008-09-22)
bianmaqi\bianmaqi.dhp (3694, 2008-09-16)
bianmaqi\bianmaqi.ise (4438, 2008-09-16)
bianmaqi\bianma_vhdl.prj (0, 2008-09-11)
bianmaqi\bianma.ngr (4181, 2008-09-11)
bianmaqi\bianma.stx (0, 2008-09-11)
bianmaqi\bianmaqi.ise_ISE_Backup (4438, 2008-09-16)
bianmaqi\bianma.ngc (499, 2008-09-10)
bianmaqi\vsim.wlf (40960, 2008-09-11)
bianmaqi\__ISE_repository_bianmaqi.ise_.lock (157, 2008-09-11)
bianmaqi\212bianmaqi.v (1585, 2008-09-11)
bianmaqi\217bianmaqi.v (1191, 2008-09-09)
bianmaqi\__projnav (0, 2008-09-22)
bianmaqi\__projnav\sumrpt_tcl.rsp (18, 2008-09-11)
bianmaqi\__projnav\runXst_tcl.rsp (49, 2008-09-11)
bianmaqi\__projnav\bianmaqi_flowplus.gfl (12458, 2008-09-11)
bianmaqi\__projnav\bianmaqi.gfl (22360, 2008-09-11)
bianmaqi\__projnav\bianma.xst (497, 2008-09-11)
bianmaqi\__projnav.log (179800, 2008-09-11)
bianmaqi\automake.log (0, 2008-09-11)
bianmaqi\bianma.prj (30, 2008-09-11)
bianmaqi\bianma.cmd_log (7015, 2008-09-11)
bianmaqi\bianma.syr (5668, 2008-09-11)
bianmaqi\bianma.lso (6, 2008-09-11)
bianmaqi\xst (0, 2008-09-22)
bianmaqi\xst\work (0, 2008-09-22)
bianmaqi\xst\work\vlg48 (0, 2008-09-22)
bianmaqi\xst\work\vlg48\_d_f_f.bin (1045, 2008-09-11)
bianmaqi\xst\work\vlg40 (0, 2008-09-22)
bianmaqi\xst\work\vlg40\bianma.bin (3979, 2008-09-11)
bianmaqi\xst\work\hdllib.ref (217, 2008-09-11)
bianmaqi\xst\work\vlg11 (0, 2008-09-22)
bianmaqi\xst\work\vlg11\_c_b.bin (1396, 2008-09-10)
bianmaqi\xst\work\vlg0D (0, 2008-09-22)
bianmaqi\xst\work\vlg0D\_b_c.bin (1473, 2008-09-11)
bianmaqi\xst\dump.xst (0, 2008-09-22)
bianmaqi\xst\dump.xst\bianma.prj (0, 2008-09-22)
bianmaqi\xst\dump.xst\bianma.prj\ngx (0, 2008-09-22)
bianmaqi\xst\dump.xst\bianma.prj\ngx\opt (0, 2008-09-22)
... ...

近期下载者

相关文件


收藏者