xuliejiancesheji

所属分类:VHDL/FPGA/Verilog
开发工具:Windows_Unix
文件大小:46KB
下载次数:198
上传日期:2008-10-13 10:48:47
上 传 者ap0505113
说明:  用状态机实现一序列检测器,即检测到串行码{1110010}后,检测器输出1,否则输出0;
(State machine used to achieve one sequence detector, which detects the serial code (1110010), the detector output 1, otherwise output 0 )

文件列表:
xuliejiancesheji.doc (74752, 2008-10-13)

近期下载者

相关文件


收藏者