keydebounce

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:225KB
下载次数:28
上传日期:2008-10-25 15:41:35
上 传 者mcuxxq
说明:  FPGA中按键弹跳消除模块的研究与应用,原理和例子都非常好
(FPGA to eliminate bounce in key research and application modules, principles and examples are very good)

文件列表:
keydebounce.doc (281600, 2008-10-23)

近期下载者

相关文件


收藏者