BCD_digit

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:2
上传日期:2008-10-30 14:53:04
上 传 者jickie1234567
说明:  基于Actel的VHDL编程,实现BCD功能源代码
(Based on Actel)

文件列表:
BCD_digit.vhd (1691, 2006-05-18)

近期下载者

相关文件


收藏者