HW_songer_nverqing

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:8KB
下载次数:14
上传日期:2008-11-01 15:31:41
上 传 者brightblack
说明:  用VHDL编写的播放器,播放西游记插曲《女儿情》,另附编码表WORD档
(Using VHDL prepared player, player of Journey to the West episode )

文件列表:
HW_songer_nverqing\NoteTabs.vhd (15616, 2007-11-09)
HW_songer_nverqing\songer.vhd (1135, 2007-11-09)
HW_songer_nverqing\speakera.vhd (1124, 2007-11-09)
HW_songer_nverqing\ToneTaba.vhd (1433, 2007-11-09)
HW_songer_nverqing\女儿情曲谱编码.doc (57856, 2007-11-09)
HW_songer_nverqing (0, 2008-11-01)

file: NoteTabs.vhd 1. --------------------change MAX_counter=431-------------------- -- SIGNAL Counter : INTEGER RANGE 0 TO 138 ; SIGNAL Counter : INTEGER RANGE 0 TO 431 ; 2. --------------------change MAX_counter=431-------------------- -- IF Counter = 138 THEN Counter<= 0 ; IF Counter = 431 THEN Counter<= 0 ; file:ToneTaba.vhd --------ADD NEXT 3 LINE-------------------- WHEN 4 => Tone <= 1116; CODE <= 4; HIGH <= '0' ; WHEN 11 => Tone <= 1582; CODE <= 4; HIGH <= '1' ; WHEN 14 => Tone <= 16***; CODE <= 7; HIGH <= '1' ;

近期下载者

相关文件


收藏者