HW_songer_yijianmei

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:8KB
下载次数:6
上传日期:2008-11-01 15:41:51
上 传 者brightblack
说明:  用VHDL编写的播放器,播放一剪梅主题曲之《一剪梅》,另附编码表WORD档
(Using VHDL prepared player,一剪梅play the theme song of )

文件列表:
HW_songer_yijianmei\NoteTabs.vhd (15940, 2007-11-19)
HW_songer_yijianmei\songer.vhd (1135, 2007-11-09)
HW_songer_yijianmei\speakera.vhd (1124, 2007-11-09)
HW_songer_yijianmei\ToneTaba.vhd (1433, 2007-11-19)
HW_songer_yijianmei\一剪梅曲谱编码.doc (72192, 2007-11-19)
HW_songer_yijianmei (0, 2007-11-20)

file: NoteTabs.vhd 1. --------------------change MAX_counter=427-------------------- -- SIGNAL Counter : INTEGER RANGE 0 TO 138 ; SIGNAL Counter : INTEGER RANGE 0 TO 427 ; 2. --------------------change MAX_counter=427-------------------- -- IF Counter = 138 THEN Counter<= 0 ; IF Counter = 427 THEN Counter<= 0 ; file:ToneTaba.vhd --------ADD NEXT 3 LINE-------------------- WHEN 4 => Tone <= 1116; CODE <= 4; HIGH <= '0' ; WHEN 11 => Tone <= 1582; CODE <= 4; HIGH <= '1' ; WHEN 14 => Tone <= 16***; CODE <= 7; HIGH <= '1' ;

近期下载者

相关文件


收藏者