AVR_Core
SOC 

所属分类:单片机开发
开发工具:VHDL
文件大小:68KB
下载次数:5
上传日期:2008-11-29 12:33:23
上 传 者finelei2002
说明:  用VHDL语言实现的AVR_Core,对于研究SOC很有帮助
(Achieved using VHDL language AVR_Core, very helpful for studying SOC)

文件列表:
AVR_Core\Converters\CVS\Entries (104, 2007-05-10)
AVR_Core\Converters\CVS\Repository (20, 2007-05-10)
AVR_Core\Converters\CVS\Root (13, 2007-05-10)
AVR_Core\Converters\GNR2VHD.EXE (15848, 2002-11-06)
AVR_Core\Converters\HEX2JAM.EXE (150938, 2003-04-27)
AVR_Core\CVS\Entries (38, 2007-05-10)
AVR_Core\CVS\Repository (9, 2007-05-10)
AVR_Core\CVS\Root (13, 2007-05-10)
AVR_Core\Doc\AVRuCDescriptionSimul.doc (25088, 2002-12-04)
AVR_Core\Doc\CVS\Entries (67, 2007-05-10)
AVR_Core\Doc\CVS\Repository (13, 2007-05-10)
AVR_Core\Doc\CVS\Root (13, 2007-05-10)
AVR_Core\VHDL\alu_avr.vhd (13043, 2002-11-06)
AVR_Core\VHDL\AVRuCPackage.vhd (7244, 2003-04-27)
AVR_Core\VHDL\avr_core.vhd (30081, 2002-11-06)
AVR_Core\VHDL\bit_processor.vhd (5735, 2002-11-06)
AVR_Core\VHDL\CPUWaitGenerator.vhd (1119, 2002-11-06)
AVR_Core\VHDL\CVS\Entries (975, 2007-05-10)
AVR_Core\VHDL\CVS\Repository (14, 2007-05-10)
AVR_Core\VHDL\CVS\Root (13, 2007-05-10)
AVR_Core\VHDL\DataRAM.vhd (1307, 2002-11-06)
AVR_Core\VHDL\external_mux.vhd (1482, 2002-11-06)
AVR_Core\VHDL\io_adr_dec.vhd (1303, 2002-11-06)
AVR_Core\VHDL\io_reg_file.vhd (3564, 2002-11-06)
AVR_Core\VHDL\pm_fetch_dec.vhd (67302, 2002-12-04)
AVR_Core\VHDL\portx.vhd (3107, 2003-02-21)
AVR_Core\VHDL\PROM.VHD (4084, 2003-04-27)
AVR_Core\VHDL\RAMDataReg.vhd (999, 2002-11-06)
AVR_Core\VHDL\reg_file.vhd (8215, 2002-12-04)
AVR_Core\VHDL\Service_Module.vhd (7872, 2003-05-21)
AVR_Core\VHDL\simple_timer.vhd (1444, 2002-11-06)
AVR_Core\VHDL\Timer_Counter.vhd (33722, 2003-05-21)
AVR_Core\VHDL\top_avr_core_sim.vhd (20571, 2003-05-21)
AVR_Core\VHDL\uart.vhd (18638, 2002-12-19)
AVR_Core\Converters\CVS (0, 2008-09-14)
AVR_Core\Doc\CVS (0, 2008-09-14)
AVR_Core\VHDL\CVS (0, 2008-09-14)
AVR_Core\Converters (0, 2008-09-14)
AVR_Core\CVS (0, 2008-09-14)
AVR_Core\Doc (0, 2008-09-14)
... ...

近期下载者

相关文件


收藏者