Sobel

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:321KB
下载次数:133
上传日期:2008-12-02 00:25:29
上 传 者citydremer
说明:  这是一个用VHDL实现SOBEL算子进行图像边缘算法的实现
(This is a realization by VHDL Sobel edge operator algorithm)

文件列表:
一种新型的实时图像处理机结构及Sobel电路设计.pdf (362305, 2008-11-30)

近期下载者

相关文件


收藏者