RS232

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:540
上传日期:2008-12-02 19:40:45
上 传 者dinsh
说明:  RS232串口通信协议,verilog实现,通过FPGA完全调通。
(RS232 serial communication protocol, verilog achieved entirely through the FPGA transfer pass.)

文件列表:
RS232\async_receiver.v (3555, 2006-01-06)
RS232\async_transmitter.v (2587, 2008-11-20)
RS232\com.c (1831, 2006-06-10)
RS232 (0, 2008-11-20)

近期下载者

相关文件


收藏者