arbiter

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:148
上传日期:2008-12-16 11:35:25
上 传 者pinkiee
说明:  一个用verilog编写的总线仲裁程序。多个设备共享总线,不同设备的优先级是变化的,保证每个设备都有公平的使用总线的机会。
(Verilog prepared a bus with arbitration proceedings. Multiple devices share the bus, the priority of different devices is changing to ensure that each device will have a fair opportunity to use the bus.)

文件列表:
arbiter\arb.v (441, 2002-04-08)
arbiter\arb_fsm.v (3505, 2002-04-08)
arbiter\arb_tb.v (339, 2002-04-08)
arbiter\counter.v (473, 2002-04-08)
arbiter\monitor.v (8611, 2002-04-08)
arbiter\transcript (136, 2008-12-08)
arbiter\xact.v (1219, 2002-04-08)
arbiter (0, 2008-11-28)

近期下载者

相关文件


收藏者