ddr_dimm

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:15KB
下载次数:5
上传日期:2008-12-17 10:31:52
上 传 者xawl
说明:  256Mb_ddr 实现ddr_dimm操作
(256Mb_ddr achieve ddr_dimm operation)

文件列表:
ddr_dimm\ddr.v (55080, 2008-12-17)
ddr_dimm\ddr_dimm.v (12853, 2008-12-17)
ddr_dimm\ddr_parameters.vh (11332, 2007-06-13)
ddr_dimm\subtest.vh (11993, 2006-12-26)
ddr_dimm\tb.v (17250, 2008-12-17)
ddr_dimm (0, 2008-12-17)

近期下载者

相关文件


收藏者