q

所属分类:其他
开发工具:VHDL
文件大小:6KB
下载次数:8
上传日期:2008-12-18 00:13:23
上 传 者lisuming
说明:  数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出来。校时电路器是用来对“时”“分”“秒”显示数字进行校时调整的。 在同一CPLD芯片口集成如下电路模块:
(err)

文件列表:
q.doc (35328, 2008-12-17)

近期下载者

相关文件


收藏者