zj

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:6
上传日期:2008-12-22 21:20:37
上 传 者demi_hi
说明:  vhdl编程 实现移位寄存器。左移和右移
(VHDL programming shift register. The left and shifted to right)

文件列表:
双向移位寄存器.doc (24576, 2007-04-04)

近期下载者

相关文件


收藏者