FPGA_AD

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:57KB
下载次数:50
上传日期:2009-01-05 00:28:33
上 传 者JoeSuny
说明:  基于Altera的FPGA开发的基于FPGA的AD转换功能,完全通过验证。
(Altera s FPGA-based development of FPGA-based AD conversion function, fully validated.)

文件列表:
dac_ds.vhd (941, 2004-02-12)
ip_ds_dac.pdf (77180, 2004-02-12)

近期下载者

相关文件


收藏者